Intel renueva su empaquetado, transistores y avanza en física cuántica

La compañía quiere multiplicar por más de 10 la densidad de empaquetado, mejorar en más de un 30% el escalado lógico e ir más allá de los transistores de silicio clásicos.

Publicado el 14 Dic 2021

Intel renueva su empaquetado, transistores y avanza en física cuántica

Intel, en su incesante búsqueda de la Ley de Moore, está desvelando avances clave en materia de empaquetado, transistores y física cuántica, que son fundamentales para avanzar y acelerar la informática en la próxima década. En el marco del IEEE International Electron Devices Meeting (IEDM) 2021, Intel definió su camino hacia una mejora de la densidad de interconexión de más de 10 veces en el empaquetado con unión híbrida, una mejora que pasa del 30% al 50% del área en el escalado de los transistores, así como importantes avances en nuevas tecnologías de energía y memoria, y nuevos conceptos de física que algún día podrían revolucionar la informática.

La Ley de Moore ha seguido la pista a las innovaciones informáticas que satisfacen las demandas de cada generación tecnológica, desde los mainframes hasta los teléfonos móviles. Esta evolución continúa hoy en día a medida que nos adentramos en una nueva era de la informática con datos ilimitados e inteligencia artificial.

La innovación continua es la piedra angular de la Ley de Moore. El Grupo de Investigación de Componentes de Intel está comprometido con la innovación en tres áreas clave: tecnologías de escalado esenciales para desarrollar más transistores; nuevas capacidades de silicio para mejorar la potencia y la memoria; y la exploración de nuevos conceptos en física para revolucionar la forma en la computación se crea. Muchas de las innovaciones que rompieron las barreras anteriores de la Ley de Moore y que se encuentran en los productos actuales empezaron con el trabajo de investigación realizado por el equipo de Investigación de Componentes, incluyendo el silicio tenso, las puertas metálicas Hi K, los transistores FinFET, RibbonFET, y las innovaciones de embalaje incluyendo EMIB y Foveros Direct.

Los avances revelados esta semana en el IEDM 2021 demuestran que Intel está en el camino correcto para continuar con el avance y los beneficios de la Ley de Moore mucho más allá de 2025 a través de sus áreas de pathfinding:

1.Intel está llevando a cabo una importante investigación en tecnologías de escalado esenciales para desarrollar más transistores en futuras ofertas de productos:

Los investigadores de la empresa han esbozado soluciones para los retos de diseño, proceso y montaje de la interconexión de unión híbrida, previendo una mejora de más de 10 veces en la densidad de interconexión en el empaquetado. En el evento Intel Accelerated celebrado en julio, Intel anunció sus planes de introducir Foveros Direct, que permite realizar bump pitches de menos de 10 micras, lo que supone un aumento de un orden de magnitud en la densidad de interconexión para el apilamiento 3D. Para que el ecosistema se beneficie de las ventajas del empaquetado avanzado, Intel también pide que se establezcan nuevos estándares industriales y procedimientos de prueba que permitan un ecosistema de chiplets de unión híbrida.

Yendo más allá de su RibbonFET de compuerta, Intel está dominando la próxima era post-FinFET con un enfoque de apilamiento de transistores múltiples (CMOS) que tiene como objetivo lograr una mejora maximizada del 30% al 50% en el escalado lógico para el avance continuo de la Ley de Moore mediante la colocación de más transistores por milímetro cuadrado.

Intel también está allanando el camino para el avance de la Ley de Moore en la era del angstrom con una investigación orientada al futuro que muestra cómo se pueden utilizar nuevos materiales de tan sólo unos átomos de grosor para fabricar transistores que superen las limitaciones de los canales de silicio convencionales, lo que permitiría aumentar en millones el número de transistores por área per die para una informática cada vez más potente en la próxima década.

2. Intel está aportando nuevas capacidades al silicio: Tecnologías de alimentación y memoria más eficientes gracias a la primera integración mundial de interruptores de alimentación basados en GaN con CMOS basados en silicio en una oblea de 300 mm. Esto está sentando las bases para un suministro de energía de baja pérdida y alta velocidad a las CPU, al tiempo que se reducen los componentes y el espacio de la placa base.

Otro avance es la capacidad de lectura/escritura de baja latencia de Intel, que utiliza nuevos materiales ferroeléctricos para una posible tecnología DRAM integrada de próxima generación que puede ofrecer mayores recursos de memoria para hacer frente a la creciente complejidad de las aplicaciones informáticas, desde el gaming hasta la IA.

3. Intel persigue un rendimiento masivo con la informática cuántica basada en transistores de silicio, así como interruptores totalmente nuevos para una informática de consumo masivo con novedosos dispositivos de temperatura ambiente. En el futuro, estas revelaciones podrían sustituir a los clásicos transistores MOSFET mediante el uso de conceptos físicos totalmente nuevos:

En el IEDM 2021, Intel demostró la primera realización experimental del mundo de un dispositivo lógico magnetoeléctrico de espín-órbita, MESO por sus siglas en inglés, a temperatura ambiente, que mostró la posibilidad de fabricar un nuevo tipo de transistor basado en imanes de conmutación a nanoescala.

Intel e IMEC están avanzando en la investigación de materiales espintrónicos para acercar la investigación de integración de dispositivos a la realización de un dispositivo de espín-órbita totalmente funcional.

Intel también presentó los flujos de proceso de qubits de 300 mm para la realización de una computación cuántica escalable que sea compatible con la fabricación de CMOS e identifica los próximos pasos para la investigación futura.

¿Qué te ha parecido este artículo?

Tu opinión es importante para nosotros.

D
Redacción Data Center Market

Artículos relacionados