Intel acelera sus innovaciones de procesos y empaquetado

La compañía ha presentado su hoja de ruta de innovaciones en procesos y empaquetado para impulsar la próxima ola de productos desde el 2025 en adelante, presentando dos tecnologías de proceso revolucionarias: RibbonFET, la primera nueva arquitectura de transistores de Intel en más de una década, y PowerVia, la primera en la industria para el suministro de energía en la parte trasera.

Publicado el 27 Jul 2021

Intel acelera sus innovaciones de procesos y empaquetado

Intel Corporation ha revelado una de las hojas de ruta de tecnología de procesos y empaquetado más detalladas que la compañía haya proporcionado nunca, en donde ha mostrado una serie de innovaciones fundamentales que impulsarán sus productos desde el 2025 en adelante. Además de anunciar RibbonFET, su primera nueva arquitectura de transistores en más de una década, y PowerVia, un nuevo método, pionero en la industria, de suministro de energía en la parte trasera; la empresa destacó que tiene previsto adoptar con rapidez la litografía ultravioleta extrema (EUV, por sus siglas en inglés) para su próxima generación de fabricación, denominada EUV de Alta Apertura Numérica (High NA), así como importantes innovaciones para empaquetado de procesadores. Intel está preparada para recibir la primera herramienta de producción de EUV de Alta Apertura Numérica del sector.

La industria reconoce, desde hace tiempo, que la nomenclatura tradicional de los nodos de proceso basados en nanómetros dejó de coincidir con la métrica de la longitud real de 1997. Hoy, Intel ha introducido una nueva estructura de nomenclatura para sus nodos de proceso, creando un marco claro y coherente para ofrecer a los clientes una visión más precisa en toda la industria. Esta claridad se vuelve más importante que nunca gracias al lanzamiento de Intel Foundry Services (IFS, por sus siglas en inglés). “Las innovaciones presentadas hoy no sólo habilitarán la hoja de ruta de productos de Intel, sino que también serán fundamentales para nuestros clientes de fundición”, explicó Gelsinger. “El interés por IFS ha sido muy positivo y estoy encantado de que hoy hayamos anunciado nuestros dos primeros clientes importantes. ¡IFS está listo para comenzar con fuerza!”.

Los especialistas en tecnología de Intel han descrito la siguiente hoja de ruta con las nuevas nomenclaturas de nodos y las innovaciones que cada uno de ellos aporta:

Intel 7 ofrece un aumento del rendimiento por vatio de entre el 10% y el 15%, aproximadamente, en comparación con Intel 10nm SuperFin, basado en las optimizaciones de los transistores FinFET. Intel 7 se podrá encontrar en productos como Alder Lake para clientes en 2021 y Sapphire Rapids para el centro de datos, que se espera que esté en producción en el primer trimestre de 2022.

Intel 4 adopta por completo la litografía EUV para reproducir características increíblemente pequeñas con luz de longitud de onda ultracorta. Con un aumento de aproximadamente un 20% en el rendimiento por vatio, así como a mejoras de área, Intel 4 estará listo para su producción en la segunda mitad de 2022 en productos que se comercialicen en 2023, incluyendo Meteor Lake para clientes y Granite Rapids para el centro de datos.

Intel 3 aprovecha aún más las nuevas optimizaciones de FinFET y un mayor EUV para ofrecer un aumento del rendimiento por vatio de aproximadamente un 18% con respecto a Intel 4, junto con mejoras de área adicionales. Intel 3 estará listo para empezar a fabricarse en productos en la segunda mitad de 2023.

Intel 20A marca el comienzo de la era angstrom con dos tecnologías revolucionarias: RibbonFET y PowerVia. RibbonFET, la implementación de Intel de un transistor gate-all-around (GAA, por sus siglas en inglés), será la primera nueva arquitectura de transistores de la empresa desde que fue pionera con los FinFET en 2011. Esta tecnología ofrece velocidades de conmutación de transistores más rápidas y consigue la misma corriente de impulso que múltiples aletas en un espacio más reducido. PowerVia es la primera implementación de Intel en el sector de suministro de energía en la parte trasera, que optimiza la señal de transmisión eliminando la necesidad de enrutar la energía en la parte frontal de la oblea. Se espera que Intel 20A entre en funcionamiento en 2024. La compañía también está entusiasmada con la oportunidad de asociarse con Qualcomm a través de la utilización de la tecnología de proceso Intel 20A.

Del 2025 en adelante: Más allá de Intel 20A, Intel 18A ya está en desarrollo y se prevé que esté lista para principios de 2025 con mejoras en RibbonFET que ofrecerán otro gran salto en el rendimiento de los transistores. Intel también está trabajando para definir, construir e implementar EUV de Alta NA de próxima generación, y espera así lograr la primera herramienta de producción del sector. Intel está colaborando estrechamente con ASML para garantizar el éxito de esta innovación en la industria, más allá de la generación actual de EUV.

“Intel tiene un largo historial de innovaciones de procesos fundacionales que han impulsado el sector a pasos agigantados”, aseguró la Dra. Ann Kelleher, senior vice president and general manager of Technology Development. “Lideramos la transición a silicio deformando a 90 nm, a compuertas metálicas High-k a 45 nm y a FinFET a 22 nm. Intel 20A supondrá otro momento crucial en la tecnología de procesos con dos innovaciones revolucionarias: RibbonFET y PowerVia”.

Con la nueva estrategia IDM 2.0 de Intel, el empaquetado es cada vez más importante para obtener los beneficios de la Ley de Moore. Intel ha anunciado que AWS será el primer cliente en utilizar las soluciones de empaquetado de IFS, al tiempo que ha proporcionado los siguientes datos sobre la hoja de ruta de empaquetado:

EMIB sigue liderando el sector como la primera solución de puente integrado 2.5D, con productos que se comercializan desde 2017. Sapphire Rapids será el primer producto para centro de datos de Xeon que se comercializará a gran volumen con EMIB (puente integrado de interconexión de múltiples matrices). También será el primer dispositivo del sector del tamaño de una retícula doble, que ofrecerá casi el mismo rendimiento que un diseño monolítico. Además de Sapphire Rapids, la próxima generación de EMIB pasará de un bump pitch de 55 micras a 45 micras.

Foveros aprovecha las capacidades de empaquetado a nivel de oblea para ofrecer una solución de apilamiento 3D única en su género. Meteor Lake será la implementación de segunda generación de Foveros en un producto para cliente y cuenta con un bump pitch de 36 micras, tiles que abarcan varios nodos tecnológicos y un rango de potencia de diseño térmico de 5 a 125 W.

Foveros Omni marca el inicio de la próxima generación de la tecnología Foveros al proporcionar una flexibilidad sin límites con la tecnología de apilamiento 3D de rendimiento para la interconexión die-to-die y los diseños modulares. Foveros Omni permite la desagregación del die, mezclando múltiples dies superiores con múltiples dies base a través de nodos de fabricación mixtos. Se espera que esté listo para la fabricación en volumen en 2023.

Foveros Direct pasa a la unión directa de cobre con cobre para interconexiones de baja resistencia y difumina la frontera entre el final de la oblea y el comienzo del paquete. Foveros Direct habilita bump pitches por debajo de las 10 micras, proporcionando un aumento del orden de magnitud en la densidad de interconexión para el apilamiento en 3D, y abriendo nuevos conceptos para la partición funcional del die que antes eran inalcanzables. Foveros Direct es complementario a Foveros Omni y también se espera que esté listo en 2023.

Los avances anunciados se han desarrollado principalmente en las instalaciones de Intel en Oregón y Arizona, lo que consolida el papel de la compañía como único actor de vanguardia que realiza tanto la investigación y el desarrollo, como la fabricación, en EE.UU. Además, las innovaciones se basan en una estrecha colaboración con un ecosistema de socios tanto en EE.UU. como en Europa. Este tipo de asociaciones son clave para llevar las innovaciones fundacionales del laboratorio a la fabricación a gran escala, e Intel se compromete a aliarse con los gobiernos para fortalecer las cadenas de suministro e impulsar la seguridad económica y nacional.

¿Qué te ha parecido este artículo?

Tu opinión es importante para nosotros.

D
Redacción Data Center Market

Artículos relacionados

Artículo 1 de 4